Simulink与Carsim联合仿真(Matlab-Simulink篇)


分类:i 学术之家       作者:Caq98i       发布时间:2022-04-05       更新时间:2022-04-05 阅读量:766    


本文将介绍Simulink与Carsim联合仿真时,对于Matlab和Simulink需要进行的一些必要设置。



1. 设置路径:将Carsim的安装路径数据库路径都添加进matlab中。添加方法见:MATLAB添加文件路径和切换工作目录;至于那两个路径在哪,在安装Carsim的时候,是有过设置的。

 

2. 从MATLAB中进入Simulink,然后创建一个“空白模型”。

进入Simulink

 

3. 在Simulink界面,点击“打开”,在carsim的安装路径,找到如下文件:programs👉solvers👉Solver_SF.mdl;选择“Solver_SF.mdl”后,点击“打开”。

Solver_SF.mdl

 

4. 这里有两个模块,选择其中一个,复制到刚刚空的simulink模型之中(CTRL+C and CTRL+V)。然后双击刚刚复制过去的那个模块,填入参数:“simfile.sim”

Ssimfile.sim

注:这个文件会在Carsim中点击“send to simulink”时被创建(在carsim的数据库目录)

 

5. 在空白处双击,添加“Mux”和“Demux”模块。(双击模块可修改接口数目,接口数目与Carsim中的输出参数与输入参数数目相关:Carsim编辑输入参数配置和输出参数配置

mux和demux

 

6. 保存模型,注意文件名不能含有中文,记住文件名和文件的路径,这个之后要与Carsim中的配置相对应。在Carsim中配置与Simulink联合仿真- (见4.2)

保存模型

 


捐赠通道,感谢!🦀🦀


          support a 🍕


留言与评论







限时特惠促销